Friday, December 17, 2010

VHDL 360, Simulation Using ModelSim

  • Command Line Simulation
  • Compile and Simulate
  • Add Signals to Wave
  • Applying Inputs
  • Run Simulation
  • Make Files
  • Interactive Simulation

No comments:

Post a Comment